搜索资源列表
Serv-U2
- 描述Serv-UFTP建立和维护手册,对那些刚接触FTP网友,特别是自己刚架设FTP的个人FTP管理员有帮助
dpjrm
- 单片机入门的书,很适合 初学者,U1=89C51 U2=555 U3=MAX232 U4=24C01 U6=X25045看门狗 X1=共阳数码管 -Singlechip book entry, it is suitable for beginners, U1 = 89C51U2 = 555U3 = MAX232U4 = 24C01U6 = X25045 watchdog X1 = total yang digital tube
iwanttofly2
- 专家点评: 9 p* g f8 J I8 u2 ]/ ea.作者具有良好的程序设计习惯及项目管理能力,设计模式运用的非常娴熟。项目设计文档是所有参赛者中最为详细和规范的,能够体会到作者那种有条不紊,游刃有余的程序设计气度。用户界面漂亮,如果能把某些操作放到前面板上而不需要到菜单里面去寻找则更好了。 C F, i, f6 }- zb.程序中将初始化的程序都并行地与两个循环放在一起,这样由于数据流的原因可能会有问题。 j2 u6 M9 T s% Q2 v( l c.优点:程序架构清晰,
U2
- 生成web页面,是一个学生管理系统的界面。-Generated web pages, is a student management system interface.
u2.0
- 演示:http://u2.71116.com/ 下载:http://u2.71116.com/u2.0.rar 2.0更新 1.程序模板分离 2.网站自动截图 3.关键字分词加强 4.网站百度:收录 快照 谷歌:收录 PR每天更新缓存 5.站长工具 6.统计方式改进 7.部分数据缓存处理 安装说明: 配置好 /config.inc.php 建好相关数据库后 执行 /install.php 安装数据库 后台:admin.php
r2bizhang
- /将此程序下载到U2,按下U2P32启动,按下U2P33停止 //程序注释请参考机器人巡黑线程序的注释。 //下载此程序之前请把无线模块拆下,因为无线模块占用了P3口的启动和停止按钮-/ Download this program to U2, press U2P32 start, press U2P33 stop// program notes refer to patrol black line robot program notes.// Download this program
FBA-1.1.7-(u2)
- FBA 1.1.7 Source Code (u2)
protuesDAC0832
- 1.基于DAC0832 protues 仿真的信号发生器 2.能发生正弦波,方波,锯齿波,阶跃波 3.软件用的是protues 4.程序是keil u2 编写的C语言单片机程序-Based the DAC0832 protues simulation signal generator can occur sine wave, square wave, sawtooth, step 3. Software used is protues program the keil u2 writ
8051_ISP(U2)_Programmer_v5.90
- 台湾笙泉股份有限公司8051编程器调试版本9-Taiwan the Megawin Co. 8051 programmer debugging
U2.20
- 专业的格式化U盘的工具。解决WINXP下格式化或U盘容量减少。这是一个不可多得的好东西!-Professional U disk formatting tool. Under solve WINXP or U disk formatted capacity is reduced. This is a very good thing!
Gaussian_Sequence
- 极坐标方法产生高斯白噪声 产生N(0,1)高斯白噪声序列,最常见的方法是极坐标法,其计算步骤如下所示: (1) 产生两个独立同分布的随机序列(0,1),U1,U2; (2) 令V1=2U1-1;V2=2U2-1;并计算S=V1^2+V2^2 (3) 若S>1,则返回第一步;否则计算Y=sqrt(-2*ln(S)/S) (4) 令实部I=V1*Y,虚部Q=V2*Y,再求Output=I+Q*i,即可得到随机复数高斯白噪声序列。 理论上,可证明上述产生的I、Q两路序
(CN)8051_ISP(U2)_Programmer_v6.02
- ISP 是”In‐System Programming”的缩写。可使用户通过软件来更新用户程序,而不必从产品中取下芯片来。”8051 ISP(U2) 编程器”工具(见下图)。因为可以将用户程序存储到编程器里的非易失性记忆体里,所以这编程器可以进行脱机编程(不用连接到电脑), 非常适用与没有电脑的地方。
GLMCandLBPextraction
- 第一个程序提取了图像灰度级为64的灰度共生矩阵,并计算了能量,熵,对比度,相关性,逆差矩这5个参数.第二个程序可以提取彩色图像的LBP纹理特征,可以提取采样点为8、16、24的统一模式(u2)、旋转不变模式(ri)、统一旋转不变模式(riu2)的LBP值。-The first program to extract a grayscale image GLCM 64, and calculate the energy, entropy, contrast, correlation, inverse
CRC
- hamming编码,主要是matlab的实现,用于河科大时间的话会计核算达科技活动卡金士顿-Hamming u7F16 u7801 uFF0C u4E3B u8981 u662Fmatlab u7B4 u73B0 uFF0C u7528 u4E8E u6CB3 u79D1 u5927 u65F6 u95F4 u7684 u8BDD u4F1A u8BA1 u6838 u7B97 u8FBE U79D1 u62EB u2
si四位加法器
- 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl of full_adder is begin s&
U2极速链商业版
- PHP极速链程序可以自动采集,手动采集,来路采集!只要设置好关键字,程序便会自动获取内容!真正实现无人监控无人操作,让建站和维护变成如此简单. 每次无论任何人从其它站点击到极速链程序一次,就自动给来路页面做一个链接,极速链程序给本站加一个内容。每天定时进行栏目相关内容进行采集。更新内容,时间周期为6个小时一次。您只需要设置好站点栏目,程序就自动进行产生相关内容,无需人工干预。(PHP speed chain program can be automatically collected, m
Domain decomposition for hyperbolic equations
- 双曲方程的域分解,该模型显示了如何使用域分解技术求解迭代算法。 系数形式PDE u1(c4)求解u1 系数形式PDE u2(c)求解u2 系数表PDE v1(c2)将u1存储到v1 系数表PDE v2(c3)将u2存储到v2 然后计算并迭代如下: 1.计算初始化U 2.在“ LOOP”>“ Step1”>“变量值未解决”中:选择“解决方案”:“ Init U”,然后“计算” 3.在“ LOOP”>“ Step1”>“变量值未解决”中:选择“解决方案:LOOP”,然后根据需
