搜索资源列表
adder17
- 实现17位加法,利用一个16位超前进位加法器和一个一位全加器构成的一个有进位输入和进位输出的17加法器,并且16位加法器利用的使四位超前进位加法器构成。它在booth乘法器设计中经常用到。可以使初学者对模块的调用了解更加透彻。-Adder 17 to achieve the use of a 16-bit CLA, and a one-bit full adder composed of a binary input and binary output of the adder 17, and
adder4
- 四位加法器,适合初学者学习使用,包括实验要求,四位加法器程序代码,QuartusII功能仿真后的波形图。-Four adder, suitable for beginners learning to use, including the experimental requirements, the four code adder, QuartusII functional simulation of the wave after.
adder_4
- 详细介绍了四位加法器的verilog代码,还包括详细的testbench代码。-Details of the four adder verilog code, also includes detailed testbench code.
adder4.rar
- 四位加法器 数码管显示 组合电路 verilog,adder4 smg display combitional circuit verilog
adder_array
- adder_array的设计。加法器阵列设计,顶层模块,四步流水,21位-adder_array the design. The adder array design, top-level module, four-step pipeline, 21
four-lookahead-adder
- verilog_HDL-四位超前进位加法器,学习资料,可以方便的用-verilog_HDL-four lookahead adder, learning materials, you can easily use
4weichaoqianjinweiqi_verilog
- 四位超前进位加法器的verilog实现。用VHDL语言,附加检验tb.v-Four lookahead adder verilog implementation. VHDL language, additional testing tb.v
fast-carry-adder-4d
- VHDL实现的快速四位加法器,就是这样,嗯,适合入门-VHDL achieve rapid four adders, exactly, ah, suitable for entry
基于FPGA的四位加法器
- 基于FPGA的四位加法器verilog语言代码(be basaed upon FPGA adder4)
si四位加法器
- 内含三个普通的四位加法器,adder,adder4-2,adder4-3(library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity full_adder is port( a,b,ci :in std_logic; s,co :out std_logic); end entity; architecture rtl of full_adder is begin s&