搜索资源列表
ALU1
- ALU 指令格式(16位) op DR SR fun 0--3 4—7 8--11 12--15 指令类 OP码 指令 FUN 功能描述 控制 0000 NOP 0000 空指令 HLT 0001 停机 有条件跳转 0010 JZ 0000 Z=1,跳转 JC 0001 C=1,跳转 JNC 0010 C=0,跳转 JNZ 0100 Z=0,跳转 Jump 0101 无条件跳转 LOAD 001
LCD
- 基于FPGA_EP2C8的lcd控制器,显示字符,初学者使用-module lcd_driver(clk,rst,LCD_DATA,RS,RW,EN) input clk,rst //rst is the signal of reset,active low(0). output RS,RW,EN //R
song
- module song(clk,key,song_out,led) input [7:0] key input clk output song_out output [7:0] led reg song_reg reg [21:0] count reg [19:0] delay reg [7:0] key_reg always @(posedge clk) begin count=count+1 if((count==de
regregreg
- 环境上没有看到verilog,才选VHDL 程序是verilog写的 实现电平敏感的1 位数据锁存器-function to complice reg with verilog
DDR_CTRL
- DDR Verilog 控制器,quartus 10.1工程。适用Altera Cyclone® III starter board-DDR control quatrus 10.1,Altera Cyclone® III starter board
bin2chuan
- 在FPGA开发板上座的输出波形的实验,输出波形通过示波器显示出来-// This is an example of a simple 32 bit up-counter called simple_counter.v // It has a single clock input and a 32-bit output port module simple_count(input clock , output reg [31:0] counter_out) always
chuan2bing
- Verilog语言实现的串行输出转换位并行输出的程序代码,并生成模块电路图-module b_c(dout,clk,clr,din) output dout input [3:0] din input clk,clr reg dout reg [3:0] q reg [1:0] cnt always@(posedge clk) begin cnt<=cnt+1 if(clr
sequence_detector
- 序列检测器的设计师用Verilog语言实现的,实现了状态之间的有效处理,在FPGA开发板上可运行-module xulie_check(clk,rst,x,y) output y input clk,rst,x reg y reg [2:0] state parameter s0=0,s1=1,s2=2,s3=3,s4=4,s5=5,s6=6,s7=7 always@(posedge clk or negedge rst)
miankao
- module t1 (clk,quot) input clk output quot reg quot reg[23:0] tc always@(posedge clk) begin tc<=tc+1 b1 if (tc==24 h013fff) begin quot<=1 tc<=0 end else quot<=0 end endmodule-module t1 (clk,quot)
VGA
- 实现vga的实现odule VGA( clock, switch, disp_RGB, hsync, vsync ) input clock //系统输入时钟 50MHz input [1:0]switch output [2:0]disp_RGB //VGA数据输出 output hsync //VGA行同步信号 output vsync //VGA场同步信号 reg [9:0] hcount //VGA行扫描计数器 re