资源列表
step
- This a sample of OUT instruction. It writes values to virtual I/O port that controls the stepper-motor. Try using datCCW, datCW_FS or datCCW_FS instead of datCW to get different behavior of the motor. -This is a sample of OUT instruction. It writes v
suanjitonxin
- 双机通信 用两个单片机进行数据传输,一个为发送方,另一个为接方。接收方接收完数据后发送一个确认信号-Two-machine communication for data transmission with two single chip, one for the sender and the other for the receiving party. Once the data receiver to receive a confirmation signal sent
dtw
- DTW ALGORITHM CAN BE USED FOR VOICE RECOGNITION.The input need to be lpc vectors
1
- 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is signal abc: std_logic_vector(0 t
AQ
- 7 segment interupts , you can modify as your needs
DAsend5064
- 24位的DA:AD5064BRUZ-1的源码,在项目中通过的。-24 DA: AD5064BRUZ-source code, adopted in the project.
blocking
- block of image for an image
_Lisezmoi_STI2D_J01_a_J10
- En fonction des jours de formation, la taille des fichiers et dossiers à récupérer est volumineuse (jusqu à 20Go pour la J3).
thomas
- 利用托马斯方法解对称性三角矩阵的matlab代码-Using the Thomas method for solving symmetric triangular matrix matlab code
sinout
- 结合MATLAB使用dsp builder编写正弦信号发生器,然后转换成VHDL语言-dsp builder
Work
- harris operator , used to detect harris corners
ee-
- 直接生成免杀,是最新的,在华中帝国上下载的,欢迎各位爱好者下载使用-Directly generate free to kill, is the latest in Central China Empire download, download welcome lovers