文件名称:Lab08
-
所属分类:
- 标签属性:
- 上传时间:2012-11-16
-
文件大小:3.04mb
-
已下载:0次
-
提 供 者:
-
相关连接:无下载说明:别用迅雷下载,失败请重下,重下不扣分!
介绍说明--下载内容来自于网络,使用问题请自行百度
嚴格來說是verilog才對
但我找不到這環境
此code是用硬體去實現簡單的queue功能
可以合成在gate level 下也沒問題的
此外還有加上省電功能
有興趣可以參考一下-verilog for queue
但我找不到這環境
此code是用硬體去實現簡單的queue功能
可以合成在gate level 下也沒問題的
此外還有加上省電功能
有興趣可以參考一下-verilog for queue
(系统自动生成,下载前可以参看下载内容)
下载文件列表
Lab08/
Lab08/01_RTL/
Lab08/01_RTL/01_run.f
Lab08/01_RTL/09_clean_up
Lab08/01_RTL/GATED_OR.v
Lab08/01_RTL/INCA_libs/
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/.ncrun.lock
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/.ncv.lock
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/.timestamp.ts
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/bind.lst.lnx86
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/cds.lib
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/cdsrun.lib
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/files.ts
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/hdl.var
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/hdlrun.var
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncelab.args
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncelab.env
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncelab.hrd
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncsim.args
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncsim.env
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncsim_restart.args
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncsim_restart.env
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncverilog.args
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncvlog.args
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncvlog.env
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncvlog.files
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncvlog.hrd
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/temp/
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/UVMHOME
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/xllibs/
Lab08/01_RTL/INCA_libs/worklib/
Lab08/01_RTL/INCA_libs/worklib/.cdsvmod
Lab08/01_RTL/INCA_libs/worklib/.inca.db.243.lnx86
Lab08/01_RTL/INCA_libs/worklib/cdsinfo.tag
Lab08/01_RTL/INCA_libs/worklib/inca.lnx86.243.pak
Lab08/01_RTL/ncverilog.log
Lab08/01_RTL/novas.rc
Lab08/01_RTL/PATTERN.v
Lab08/01_RTL/RF
Lab08/01_RTL/RF.fsdb
Lab08/01_RTL/RF.v
Lab08/01_RTL/RF_02.v
Lab08/01_RTL/RF_queue
Lab08/01_RTL/RF_stack.v
Lab08/01_RTL/TESTBED.v
Lab08/01_RTL/verdiLog/
Lab08/01_RTL/verdiLog/novas.rc
Lab08/01_RTL/verdiLog/pes.bat
Lab08/01_RTL/verdiLog/turbo.log
Lab08/01_RTL/verdiLog/verdi.cmd
Lab08/01_RTL/verdiLog/verdi.cmd.bak
Lab08/02_SYN/
Lab08/02_SYN/01_run_dc
Lab08/02_SYN/09_clean_up
Lab08/02_SYN/command.log
Lab08/02_SYN/default.svf
Lab08/02_SYN/Netlist/
Lab08/02_SYN/Netlist/RF_SYN.sdc
Lab08/02_SYN/Netlist/RF_SYN.sdf
Lab08/02_SYN/Netlist/RF_SYN.v
Lab08/02_SYN/PATTERN.v
Lab08/02_SYN/Report/
Lab08/02_SYN/Report/RF.area
Lab08/02_SYN/Report/RF.timing
Lab08/02_SYN/RF.v
Lab08/02_SYN/syn.log
Lab08/02_SYN/syn.tcl
Lab08/02_SYN/TESTBED.v
Lab08/03_GATE/
Lab08/03_GATE/01_run.f
Lab08/03_GATE/09_clean_up
Lab08/03_GATE/INCA_libs/
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/.ncrun.lock
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/.ncv.lock
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/.timestamp.ts
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/bind.lst.lnx86
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/cds.lib
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/cdsrun.lib
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/files.ts
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/hdl.var
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/hdlrun.var
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncelab.args
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncelab.env
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncelab.hrd
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncsim.args
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncsim.env
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncsim_restart.args
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncsim_restart.env
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncverilog.args
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncvlog.args
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncvlog.env
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncvlog.files
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncvlog.hrd
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/temp/
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/UVMHOME
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/.ncv.lock
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/cds.lib
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/fsd0a_a_generic_core_30/
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/fsd0a_a_generic_core_30/.cdsvmod
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/fsd0a_a_generic_core_30/.inca.db.243.lnx86
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/fsd0a_a_generic_core_30/cdsinfo.tag
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/fsd0a_a_generic_core_30/inca.lnx86.243.pak
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/hdl.var
Lab08/03_GATE/INCA_libs/worklib/
Lab08/03_GATE/INCA_libs/worklib/.cdsvmod
Lab08/03_GATE/INCA_libs/worklib/.inca.db.243.lnx86
Lab08/03_GATE/INCA_libs/worklib/cdsinfo.tag
Lab08/03_GATE/INCA_libs/worklib/inca.lnx86.243.pak
Lab08/03_GATE/ncverilog.key
Lab08/03_GATE/ncverilog.log
Lab08/03_GATE/novas.rc
Lab08/03_GATE/PATTERN.v
Lab08/03_GATE/RF.fsdb
Lab08/03_GATE/RF_SYN.sdf
Lab08/03_GATE/RF_SYN.sdf.X
Lab08/03_GATE/RF_SYN.v
Lab08/03_GATE/TESTBED.v
Lab08/03_GATE/verdiLog/
Lab08/03_GATE/verdiLog/coredump
Lab08/03_GATE/verdiLog/novas.rc
Lab08/03_GATE/v
Lab08/01_RTL/
Lab08/01_RTL/01_run.f
Lab08/01_RTL/09_clean_up
Lab08/01_RTL/GATED_OR.v
Lab08/01_RTL/INCA_libs/
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/.ncrun.lock
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/.ncv.lock
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/.timestamp.ts
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/bind.lst.lnx86
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/cds.lib
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/cdsrun.lib
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/files.ts
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/hdl.var
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/hdlrun.var
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncelab.args
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncelab.env
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncelab.hrd
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncsim.args
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncsim.env
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncsim_restart.args
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncsim_restart.env
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncverilog.args
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncvlog.args
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncvlog.env
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncvlog.files
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/ncvlog.hrd
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/temp/
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/UVMHOME
Lab08/01_RTL/INCA_libs/irun.lnx86.10.20.nc/xllibs/
Lab08/01_RTL/INCA_libs/worklib/
Lab08/01_RTL/INCA_libs/worklib/.cdsvmod
Lab08/01_RTL/INCA_libs/worklib/.inca.db.243.lnx86
Lab08/01_RTL/INCA_libs/worklib/cdsinfo.tag
Lab08/01_RTL/INCA_libs/worklib/inca.lnx86.243.pak
Lab08/01_RTL/ncverilog.log
Lab08/01_RTL/novas.rc
Lab08/01_RTL/PATTERN.v
Lab08/01_RTL/RF
Lab08/01_RTL/RF.fsdb
Lab08/01_RTL/RF.v
Lab08/01_RTL/RF_02.v
Lab08/01_RTL/RF_queue
Lab08/01_RTL/RF_stack.v
Lab08/01_RTL/TESTBED.v
Lab08/01_RTL/verdiLog/
Lab08/01_RTL/verdiLog/novas.rc
Lab08/01_RTL/verdiLog/pes.bat
Lab08/01_RTL/verdiLog/turbo.log
Lab08/01_RTL/verdiLog/verdi.cmd
Lab08/01_RTL/verdiLog/verdi.cmd.bak
Lab08/02_SYN/
Lab08/02_SYN/01_run_dc
Lab08/02_SYN/09_clean_up
Lab08/02_SYN/command.log
Lab08/02_SYN/default.svf
Lab08/02_SYN/Netlist/
Lab08/02_SYN/Netlist/RF_SYN.sdc
Lab08/02_SYN/Netlist/RF_SYN.sdf
Lab08/02_SYN/Netlist/RF_SYN.v
Lab08/02_SYN/PATTERN.v
Lab08/02_SYN/Report/
Lab08/02_SYN/Report/RF.area
Lab08/02_SYN/Report/RF.timing
Lab08/02_SYN/RF.v
Lab08/02_SYN/syn.log
Lab08/02_SYN/syn.tcl
Lab08/02_SYN/TESTBED.v
Lab08/03_GATE/
Lab08/03_GATE/01_run.f
Lab08/03_GATE/09_clean_up
Lab08/03_GATE/INCA_libs/
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/.ncrun.lock
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/.ncv.lock
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/.timestamp.ts
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/bind.lst.lnx86
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/cds.lib
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/cdsrun.lib
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/files.ts
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/hdl.var
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/hdlrun.var
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncelab.args
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncelab.env
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncelab.hrd
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncsim.args
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncsim.env
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncsim_restart.args
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncsim_restart.env
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncverilog.args
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncvlog.args
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncvlog.env
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncvlog.files
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/ncvlog.hrd
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/temp/
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/UVMHOME
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/.ncv.lock
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/cds.lib
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/fsd0a_a_generic_core_30/
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/fsd0a_a_generic_core_30/.cdsvmod
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/fsd0a_a_generic_core_30/.inca.db.243.lnx86
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/fsd0a_a_generic_core_30/cdsinfo.tag
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/fsd0a_a_generic_core_30/inca.lnx86.243.pak
Lab08/03_GATE/INCA_libs/irun.lnx86.10.20.nc/xllibs/hdl.var
Lab08/03_GATE/INCA_libs/worklib/
Lab08/03_GATE/INCA_libs/worklib/.cdsvmod
Lab08/03_GATE/INCA_libs/worklib/.inca.db.243.lnx86
Lab08/03_GATE/INCA_libs/worklib/cdsinfo.tag
Lab08/03_GATE/INCA_libs/worklib/inca.lnx86.243.pak
Lab08/03_GATE/ncverilog.key
Lab08/03_GATE/ncverilog.log
Lab08/03_GATE/novas.rc
Lab08/03_GATE/PATTERN.v
Lab08/03_GATE/RF.fsdb
Lab08/03_GATE/RF_SYN.sdf
Lab08/03_GATE/RF_SYN.sdf.X
Lab08/03_GATE/RF_SYN.v
Lab08/03_GATE/TESTBED.v
Lab08/03_GATE/verdiLog/
Lab08/03_GATE/verdiLog/coredump
Lab08/03_GATE/verdiLog/novas.rc
Lab08/03_GATE/v
本网站为编程资源及源代码搜集、介绍的搜索网站,版权归原作者所有! 粤ICP备11031372号
1999-2046 搜珍网 All Rights Reserved.