搜索资源列表
自动售货机VHDL程序与仿真
- library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买
SEL-551
- 美国SEL继电保护装置的技术说明书551很难弄到的呀,很实用的呀-U.S. SEL 551 relay device is difficult to get the technical specifications of ah, ah very useful
SELENIUM-vs-QTP
- Sel vs QTP is a great option to enhance the ski-Sel vs QTP is a great option to enhance the skill
codes14
- these codes have been used to run the numerical examples presented in [1] and the ones presented in the present document. To run the codes, download them in the same folder and run the file main.m. The codes are put online to facilitate the purpose o
pca-feature-sel
- tHIS FILE DESCRIBES THE FEATURE SELECTION TECHNIQUE FOR MAMMOGRAM FEATURES